ha.vhd 271 B

123456789101112131415161718
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. entity ha is
  4. port
  5. (
  6. a : in std_logic;
  7. b : in std_logic;
  8. o : out std_logic;
  9. c : out std_logic
  10. );
  11. end entity;
  12. architecture beh of ha is
  13. begin
  14. o <= a xor b;
  15. c <= a and b;
  16. end architecture;