generic_counter.vhd 448 B

123456789101112131415161718192021
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. entity generic_counter is
  4. generic (
  5. counter_bits : integer := 8
  6. );
  7. port
  8. (
  9. clk : in std_logic;
  10. res : in std_logic;
  11. en : in std_logic;
  12. cnt : out std_logic_vector (counter_bits -1 downto 0)
  13. );
  14. end entity;
  15. architecture beh of generic_counter is
  16. signal cnt_next : std_logic_vector (counter_bits -1 downto 0);
  17. begin
  18. cnt <= (others => '0');
  19. end architecture;