|
|
@@ -2,13 +2,13 @@ library ieee;
|
|
|
use ieee.std_logic_1164.all;
|
|
|
use ieee.numeric_std.all;
|
|
|
|
|
|
-entity tb is
|
|
|
+entity generic_counter_tb is
|
|
|
end entity;
|
|
|
|
|
|
-architecture beh of tb is
|
|
|
+architecture beh of generic_counter_tb is
|
|
|
component generic_counter is
|
|
|
generic (
|
|
|
- counter_bits : integer
|
|
|
+ counter_bits : integer := 8
|
|
|
);
|
|
|
port(
|
|
|
clk : in std_logic;
|
|
|
@@ -51,7 +51,7 @@ begin
|
|
|
clk => clock,
|
|
|
rst => reset,
|
|
|
en => enable_counter,
|
|
|
- cnt => counter_value
|
|
|
+ cnt => counter_value(1 downto 0)
|
|
|
);
|
|
|
|
|
|
end architecture;
|